Capítulos 1 al 12. MICROCONTROLADOR PIC16F84. Figura 1-2:

segunda-feira, 26 de julho de 2010 3 comentários

Estos capítulos tratan sobre los principios básicos de funcionamiento del PIC16F84A. Para su aprendizaje proponemos 64 ejercicios. Pulsando sobre icon0102_EntrenadorBasico (38.17 kB) podrá descargar el esquema del entrenador básico y los ficheros *.HEX necesarios para la simulación en PROTEUS de los ejercicios de estos capítulos (esta es la clave para extraer los archivos). En el libro "MICROCONTROLADOR PIC16F84. DESARROLLO DE PROYECTOS" de la Editorial Ra-Ma encontrará ampliamente detallada la explicación de estas prácticas.
Se han incluido también nuevos ejemplos de ejercicios *.asm no incluidos en el CD-ROM que acompaña al libro.
Capítulos 13 y 14. LCD Y EEPROM DE DATOS. Figura 14-3:
Estos capítulos tratan sobre el control de una pantalla LCD y de la EEPROM de datos internas del PIC16F84. Para su aprendizaje proponemos 21 ejercicios. Pulsando sobre icon1403_EntrenadorLCD (34.76 kB) podrá descargar el esquema y los ficheros *.HEX necesarios para la simulación en PROTEUS de los ejercicios de estos capítulos (esta es la clave para extraer los archivos). En el libro "MICROCONTROLADOR PIC16F84. DESARROLLO DE PROYECTOS" de la Editorial Ra-Ma encontrará ampliamente detallada la explicación de estas prácticas.
Para poder simular la visualización de carácteres en el LCD dentro de PROTEUS, es necesario modificar la librería LCD_4BIT.INC tal como se explica en la Pregunta frecuente número 16.
Se han incluido también nuevos ejemplos de ejercicios *.asm no incluidos en el CD-ROM que acompaña al libro.
Capitulo 15. TIMER 0. Figuras 15-3 y 15-4:
Este capítulo trata sobre el manejo del Timer 0 PIC16F84. Para su aprendizaje proponemos 6 ejercicios. Pulsando sobre icon1503_TMR0 (11.08 kB) podrá descargar el esquema y los ficheros *.HEX necesarios para la simulación en PROTEUS de los ejercicios de este capítulo (esta es la clave para extraer los archivos). En el libro "MICROCONTROLADOR PIC16F84. DESARROLLO DE PROYECTOS" de la Editorial Ra-Ma encontrará ampliamente detallada la explicación de estas prácticas.
Capítulo 16. OTROS RECURSOS DEL PIC16F84. Figura 14-3:
Este capítulo trata sobre manejo de algunos recursos del PIC16F84 tales como direccionamiento indirecto, macros y subrutinas aritméticas. Para su aprendizaje proponemos 19 ejercicios. Pulsando sobre icon1600_Recursos (25.25 kB) podrá descargar el esquema y los ficheros *.HEX necesarios para la simulación en PROTEUS de los ejercicios de este capítulo (esta es la clave para extraer los archivos). En el libro "MICROCONTROLADOR PIC16F84. DESARROLLO DE PROYECTOS" de la Editorial Ra-Ma encontrará ampliamente detallada la explicación de estas prácticas.
Se ha incluido varios programas aritméticos que vienen explicados en el capitulo 16 de la sección Proyectos de esta Web.
Capítulo 17. INTERRUPCIONES INT Y RBI.
Este capítulo trata sobre las interrupciones INT y RBI del PIC16F84. Para su aprendizaje proponemos 13 ejercicios. Pulsando sobre icon1701_InterrupcionINT (12.9 kB)y icon1704_InterrupcionRBI (12.21 kB) podrá descargar los esquema y los ficheros *.HEX necesarios para la simulación en PROTEUS de los ejercicios de este capítulo (esta es la clave para extraer los archivos). En el libro "MICROCONTROLADOR PIC16F84. DESARROLLO DE PROYECTOS" de la Editorial Ra-Ma encontrará ampliamente detallada la explicación de estas prácticas.
FIGURA 17-01: Interrupción INT. (Ejercicios Int_INT_01.asm al Int_INT_08.asm):
FIGURA 17-04: Interrupción RBI. (Ejercicios Int_RBI_01.asm al Int_RBI_08.asm):
Para probar las interrupciones RBI en el simulador PROTEUS hay que deshabilitar las resistencias de Pull-Up internas del PIC16F84 y conectar unas externas tal como se indica en el esquema. Sin embargo, en el montaje real esto no es necesario, utilizándose los circuitos explicados en el libro.
Capítulo 18. INTERRUPCIÓN POR DESBORDAMIENTO DEL TIMER 0.
Este capítulo trata sobre la interrupción T0I del PIC16F84. Para su aprendizaje proponemos 16 ejercicios. Pulsando sobre icon1801_InterrupcionT0I (11.71 kB)y icon1802_Cuadrada_y_otros (19.52 kB)podrá descargar los esquema y los ficheros *.HEX necesario para la simulación en PROTEUS de los ejercicios de este capítulo (esta es la clave para extraer los archivos). En el libro "MICROCONTROLADOR PIC16F84. DESARROLLO DE PROYECTOS" de la Editorial Ra-Ma encontrará ampliamente detallada la explicación de estas prácticas.
FIGURA 18-01 ampliada: Interrupción T01. (Ejercicios Int_T01.asm al Int_T06.asm):
FIGURA 18-02 reformada: Ondas cuadradas, reloj digital y temporizador. (Ejercicios Int_Cuadrada_01. asm a Int_Cuadrada_04.asm, Int_Reloj_01 a Int_Reloj_05.asm y además el ejercicio Int_Temporizador.asm):
Al utilizar las interrupciones RBI en el simulador PROTEUS hay que deshabilitar las resistencias de Pull-Up internas del PIC16F84 y conectar unas externas tal como se indica en el esquema. Sin embargo, en el montaje real esto no es necesario, utilizándose los circuitos explicados en el libro.
Capítulo 19. TECLADO HEXADECIMAL. Figura 19-4:
Este capítulo trata sobre el control del Teclado Hexadecimal por parte del PIC16F84. Para su aprendizaje proponemos 9 ejercicios. Pulsando sobre icon1904_Teclado (17.85 kB) podrá descargar el esquema y los ficheros *.HEX necesarios para la simulación en PROTEUS de los ejercicios de este capítulo (esta es la clave para extraer los archivos). En el libro "MICROCONTROLADOR PIC16F84. DESARROLLO DE PROYECTOS" de la Editorial Ra-Ma encontrará ampliamente detallada la explicación de estas prácticas.
La clave de la cerradura electrónica del ejercicio Teclado_09.HEX es : 456E78.
Al utilizar las interrupciones RBI en el simulador PROTEUS hay que deshabilitar las resistencias de Pull-Up internas del PIC16F84 dentro de la librería TECLADO.INC, cambiando la instrucción "bcf OPTION_REG,NOT_RBPU" por esta otra "bsf OPTION_REG,NOT_RBPU". Además hay que añadir un pequeño retardo en la subrutina "Teclado_LeeOrdenTecla" de la librería TECLADO.INC tal como se indica en la línea roja que se expone a continuación:
... ...
Teclado_LeeOrdenTecla:
clrf Tecl_TeclaOrden ; Todavía no ha empezado a chequear el teclado.
movlw b'11111110' ; Va a chequear primera fila.
Tecl_ChequeaFila ; (Ver esquema de conexión).
movwf PORTB ; Activa la fila correspondiente.
call Retardo_1ms
Tecl_Columna1
... ...
Además hay que conectar unas resistencias de Pull-Up externas tal como se indica en el esquema. Sin embargo, en el montaje real, nada de esto es necesario, utilizándose tal como se explica en el libro.
El teclado se ha simulado mediante un matriz de pulsadores ya que el teclado hexadecimal incluido con la libreria de PROTEUS no puede trabajar con interrupciones RBI.
Capítulo 20 . COMUNICACIÓN CON ORDENADOR
Este capítulo trata sobre la comunicación entre el ordenador y el PIC16F84. Para su aprendizaje proponemos 11 ejercicios. Pulsando sobre icon2013_RS232_Ejercicios (14.41 kB), icon2014_RS232_Monitor (7.82 kB) y icon2015_RS232_Gobierno (10.35 kB) podrá descargar los esquema y los ficheros *.HEX necesario para la simulación en PROTEUS de los ejercicios de este capítulo (esta es la clave para extraer los archivos). En el libro "MICROCONTROLADOR PIC16F84. DESARROLLO DE PROYECTOS" de la Editorial Ra-Ma encontrará ampliamente detallada la explicación de estas prácticas.
FIGURA 20-13. Ejercicios RS232_01 al RS232_08.asm:
FIGURA 20-14. Ejercicios RS232_09 y RS232_10.asm:
FIGURA 20-15 (Ejercicio RS232_11.asm):
Capítulo 22. BUS I2C, MEMORIA EEPROM 24LC246. Figura 22-7:
Este capítulo trata sobre el Bus I2C aplicado a una memoria EEPROM 24LC256. Para su aprendizaje proponemos 3 ejercicios. Pulsando sobre icon2207_I2C_M24LC256 (12.02 kB) podrá descargar el esquema y los ficheros *.HEX necesarios para la simulación en PROTEUS de los ejercicios de este capítulo (esta es la clave para extraer los archivos). En el libro "MICROCONTROLADOR PIC16F84. DESARROLLO DE PROYECTOS" de la Editorial Ra-Ma encontrará ampliamente detallada la explicación de estas prácticas.
En los ficheros *.BIN se almacenan los datos a grabar en la memoria EEPROM. Estos ficheros se pueden editar con el IC-Prog tal como se explica en el libro.
Es importante resaltar que, en PROTEUS es necesario reemplazar la memoria cada que se varíe el contenido de la misma. Es decir, hay que volver a insertar una memoria nueva cada vez que se cargue su contenido con un fichero *.BIN.

Capítulo 24 . DS1307, RELOJ-CALENDARIO EN BUS I2C:
Este capítulo trata sobre el Bus I2C aplicado a un reloj calendario DS1307. Pulsando sobre icon2403_I2C_DS1307 (12.11 kB) podrá descargar el esquema y el fichero *.HEX necesario para la simulación en PROTEUS del ejercicio de este capítulo (esta es la clave para extraer los archivos). En el libro "MICROCONTROLADOR PIC16F84. DESARROLLO DE PROYECTOS" de la Editorial Ra-Ma encontrará ampliamente detallada la explicación de estas prácticas..
Al utilizar las interrupciones RBI en el simulador PROTEUS hay que deshabilitar las resistencias de Pull-Up internas del PIC16F84 y conectar unas externas tal como se indica en el esquema. Sin embargo, en el montaje real esto no es necesario, utilizándose los circuitos explicados en el libro.
Capítulo 25 . SAA1064 CONTROLADOR DE DISPLAY. Figura 25-3:
Este capítulo trata sobre el Bus I2C aplicado al controlador SAA1064. Pulsando sobre icon2503_I2C_SAA1064 (24.54 kB) podrá descargar el esquema, el archivo SAA1064.DLL y el fichero *.HEX necesario para la simulación en PROTEUS (esta es la clave para extraer los archivos). En el libro "MICROCONTROLADOR PIC16F84. DESARROLLO DE PROYECTOS" de la Editorial Ra-Ma encontrará ampliamente detallada la explicación de estas prácticas.
Para la correcta simulación del circuito debe copiar el archivo SAA1064.DLL descargado en la carpeta C:\Archivos de programa\Labcenter Electronics\Proteus 6 Demonstration\MODELS de su ordenador.
En la simulación con PROTEUS VSM el mensaje parpadea, lo que no ocurre en el montaje real.
Capítulo 26. PCF8574, EXPANSOR DE BUS I2C. Figura 26-6:
Este capítulo trata sobre la conversión de un bus paralelo a Bus I2C y viceversa, ampliando sus posibilidades mediante un expansor PCF8574 conectado al PIC16F84A. Pulsando sobre icon2606_I2C_PCF8574 (11.3 kB) podrá descargar el esquema y el fichero *.HEX necesario para la simulación en PROTEUS (esta es la clave para extraer los archivos). En el libro "MICROCONTROLADOR PIC16F84. DESARROLLO DE PROYECTOS" de la Editorial Ra-Ma encontrará ampliamente detallada la explicación de estas prácticas.
Capítulo 27 . PCF8591, ADC Y DAC EN BUS I2C
Este capítulo trata sobre la realización de conversores DAC y ADC en bus I2C mediante un PCF8591 conectado al PIC16F84. Para su aprendizaje proponemos 3 ejercicios. Pulsando sobre icon2709_I2C_PCF8591_DAC (12.79 kB)y icon2710_I2C_PCF8591_ADC (12.06 kB)podrá descargar los esquema y los ficheros *.HEX necesario para la simulación en PROTEUS de los ejercicios de este capítulo (esta es la clave para extraer los archivos). En el libro "MICROCONTROLADOR PIC16F84. DESARROLLO DE PROYECTOS" de la Editorial Ra-Ma encontrará ampliamente detallada la explicación de estas prácticas.
FIGURA 27-9. Ejercicios I2C_DAC_01.asm y I2C_DAC_02.asm:
FIGURA 27-10. Ejercicio I2C_ADC_01.asm:

Capítulo 28 . DS18B20, TERMOSTATO EN BUS 1-WIRE
En el capítulo 28 del libro "MICROCONTROLADOR PIC16F84. DESARROLLO DE PROYECTOS" de la Editorial Ra-Ma analizamos el funcionamiento del bus 1-Wire de Dallas. Como aplicación práctica explicamos como construir un termostato con el popular sensor de temperatura DS1820 conectado mediante bus 1-Wire de Dallas.
El DS18B20 es otro sensor de temperatura de la misma familia, pero con un sensibilidad mejorada de hasta 0.0625 ºC. Aunque el funcionamiento es muy similar al DS1820, la tabla de conversión no es la misma y por tanto el programa que explicamos en el libro no es válido para este.
Haciendo click sobre icon2808_DS18B20 (276.33 kB)podrá descargar los archivos necesarios para adaptar el termostato de la figura 28-8 del libro al sensor DS18B20:



  • Hojas características del DS18B20: DS18B20.pdf



  • Librería DS18B20.INC



  • Programa DS18B20_Termostato.asm



  • Archivo DS18B20_Termostato.hex para cargarlo en el microcontrolador PIC16F84A.



  • Archivo DS18B20.dll que es el modelo de este dispositivo para su simulación en PROTEUS.
La clave para abrir estos archivos es la misma que la utilizada en toda esta Web.
Para la correcta simulación del circuito debe copiar el archivo DS18B20.DLL descargado en la carpeta C:\Archivos de programa\Labcenter Electronics\Proteus xx\MODELS de su ordenador.

Al utilizar las interrupciones RBI en el simulador PROTEUS hay que deshabilitar las resistencias de Pull-Up internas del PIC16F84 y conectar unas externas tal como se indica en el esquema. Sin embargo, en el montaje real esto no es necesario, utilizándose los circuitos explicados en el libro.
Capítulo 29. MOTORES DE CORRIENTE CONTINUA. Figura 29-9:
Este capítulo trata sobre el control de motores de corriente continua. Para su aprendizaje proponemos 3 ejercicios. Pulsando sobre icon2909_MotorDC podrá descargar el esquema y los ficheros *.HEX necesarios para la simulación en PROTEUS de los ejercicios de este capítulo (esta es la clave para extraer los archivos). En el libro "MICROCONTROLADOR PIC16F84. DESARROLLO DE PROYECTOS" de la Editorial Ra-Ma encontrará ampliamente detallada la explicación de estas prácticas.
Es importante resaltar que la librería de PROTEUS no incluye el driver L293B por lo que ha sido sustituido por el buffer triestado 74126. De esta forma la simulación es totalmente compatible.
Capítulo 30. MOTOR PASO A PASO. Figura 30-13:
Este capítulo trata sobre el control de motores PAP. Para su aprendizaje proponemos 4 ejercicios. Pulsando sobre2909_MotorDCicon3013_MotorPAP (9.97 kB)podrá descargar el esquema y los ficheros *.HEX necesarios para la simulación en PROTEUS de los ejercicios de este capítulo (esta es la clave para extraer los archivos). En el libro "MICROCONTROLADOR PIC16F84. DESARROLLO DE PROYECTOS" de la Editorial Ra-Ma encontrará ampliamente detallada la explicación de estas prácticas.
Es importante resaltar que la librería de PROTEUS no incluye el driver L293B por lo que ha sido sustituido por el buffer triestado 74126. De esta forma la simulación es totalmente compatible.
Fig3013_MotorPAP
Capítulo 31. SERVOMOTOR DE RADIOCONTROL. Figura 31-6:
Este capítulo trata sobre el control del servomotor de radiocontrol. Pulsando sobre icon3106_Servomotor (7.76 kB) podrá descargar el esquema y el fichero *.HEX necesario para la simulación en PROTEUS, (esta es la clave para extraer los archivos). En el libro "MICROCONTROLADOR PIC16F84. DESARROLLO DE PROYECTOS" de la Editorial Ra-Ma encontrará ampliamente detallada la explicación de estas prácticas.
ENTRENADOR PARA PIC16F84:
Este entrenador completo diseñado por Joaquín Lara, permite probar todos ejercicios del libro. Pulsando sobre iconLARA_PIC16F84 (147.1 kB) podrá descargar el esquema y los ficheros *.HEX necesarios para la simulación en PROTEUS (esta es la clave para extraer los archivos). En el libro "MICROCONTROLADOR PIC16F84. DESARROLLO DE PROYECTOS" de la Editorial Ra-Ma encontrará ampliamente detallada la explicación de estas prácticas.
LARA_PIC16F84
ENTRENADOR PARA PIC16F876:
Este entrenador completo diseñado por Joaquín Lara, permite probar el microcontrolador PIC16F876. Pulsando sobre iconLARA_PIC16F876 (52.03 kB) podrá descargar el esquema y los ficheros necesarios para la simulación en PROTEUS (esta es la clave para extraer los archivos).
Entrenador PIC16F876

Share this article :

+ comentários + 3 comentários

Anônimo
9 de agosto de 2012 às 07:59

hola soy de peru y no conosco es escuela puse las letras en rojitos k eran las iniciales pero no m deja bajar podrias poner la clave k no sale ni una para poder descargar los archivos

Anônimo
23 de novembro de 2013 às 23:27

PORQUE CUANDO MODIFICO LOS CODIGOS ASM DE CUALQUIER PROYECTO Y LOS QUIERO VOLVER A GRABAR EN PROTEUS NO FUNCIONAN???

AGRADESCO RESPUESTA

6 de dezembro de 2018 às 10:56

No funciona ningun enlace

Postar um comentário

 
Support : Creating Website | Johny Template | Mas Template
Copyright © 2011. kvolts - All Rights Reserved
Template Created by Creating Website Published by Mas Template
Proudly powered by Blogger